EclipseのHDL記述用プラグイン(SIGASI)を使ってみた


Androidアプリの開発以来プログラミングエディタとしてすっかりファンになってしまったeclipseですが、様々な言語をサポートしているのでHDL用のプラグインもあるはずだと探してみますと、以下の2つを発見しました。 毎度の話ですがフリーです(笑)

■Veditor

 http://sourceforge.net/apps/mediawiki/veditor/

■Sigasi

 http://www.sigasi.com/install-eclipse-vhdl-plugin

Sigasiは製品ですが記述サイズ限定でフリーのStarter Edition とPro(US$840)の期間限定トライアルライセンスが無償で入手可能です。

両方インストールして触ってみましたが、さすがに製品だけあってSigasiの方が優れていると感じましたのでVeditorは割愛します・・・

1.eclipseのインストール

手順というほどの事でもないですが、以前の記事を参考にしてください。
ちなみに最新版はVer4.3のKeplerです。
HDL記述だけであれば最小構成のPlatform-fulleditionでOK。JAVAやCなど必要となった時にプラグインを追加インストールすれば良いですし・・・

2.Sigasiプラグインをインストール

eclipseを起動
暫く待つとインストール可能なプラグインが表示されるので、チェックを入れて指示に従って進めればインストールされます。
eclipseを再起動するとSigasiが表示されれば無事にインストール完了です。
赤枠部分をクリックしてVHDLのパースペクティブを選択します。
(Cなどの他言語は、プラグインの入っていないeclipseでは出てきません。)

フリーのStarter Editionとして使用するには、TalkBackを許可しておく必要があります

3.プロジェクトの作成
新規プロジェクトを作成します

インジケーターが100%になるとStarterEditionのリミットの様です。親切設計ですね
vcomとはmodelsimのコンパイラです。
SigasiがサポートしているHDLコンパイラがPCにインストールされていると出てくる様ですので、環境によってメッセージが出なかったり、他のコンパイラが表示されたりすると思われます。
modelsimとの連携は後回しとしてDismissを選びます。
4.VHDLソース記述

テンプレートを自作して追加していけば開発効率アップですね
VHDLもプログラミングと同様に誤字脱字や書式の度忘れからは逃れられません(笑)
eclipseユーザーならおなじみのショートカット「Ctrl+Space」で効率的に開発ができますね!
あっという間に非同期リセット付きDFFが書けました
(続く)

コメント

このブログの人気の投稿

ARM用クロスコンパイラのビルド(Windows8+Cygwin)

Raspberry Pi のsleep時間測定

Cygwin+Emacs Problem