Quartus2 V13.1 ~エントリー編 HDL回路から回路図用シンボルを作成する~

 トップダウン設計とするのかボトムアップ設計とするのか?一人で設計するのかチーム設計なのか?設計資産の再利用があるのか無いのか?設計資産はHDLなのか回路図なのかなどなど、プロジェクト毎に設計戦略は異なりますので、HDL設計を回路図/ブロック図に載せたい場合があります。

もちろん、Quartus2はこの機能をサポートしてくれています。

■HDL回路のシンボル生成

シンボルを作成したいHDLソースを選択した状態で、右クリックにて「Create Symbol ・・・」を選択する事で、回路図用のシンボルが生成されます。


新規の回路図を作成します。
もちろん、既存の回路図があれば不要な作業です。
■回路図にHDL設計された回路を配置する
シンボル入力を選択して、project内に生成されたシンボルを選択します
赤枠にチェックを入れて、ブロックダイアグラム向けのシンボルを選択する事もできます
回路図内にHDLで設計された回路(シンボル)を配置できました。


コメント

このブログの人気の投稿

ARM用クロスコンパイラのビルド(Windows8+Cygwin)

Raspberry Pi のsleep時間測定