Quartus2 V13.1 ~シュミレーション編 波形エディタ入力~

波形エディタで入力信号を定義して回路動作をシュミレーションする方法

 ■波形エディタへの端子定義
まずは、どの回路に対してシュミレーションを行うのか決めます。
デバイスレベル(プロジェクトの最上位)でも、任意の下層の回路図やHDL回路でも可能です。
シュミレーションする回路をTop-Level Entityにセットします。
波形ファイルを作成します。
波形エディタが開きますので、右クリックでメニューを表示して「Insert・・・」を選択します。
Node Finder で回路に定義されている端子を検索します。
「List」をクリックすると全端子がリストアップされます。
中央の矢印を使って波形ファイルに表示させる端子を定義(右側ウィンドウ)します。
波形ファイルに端子が定義されました。
■テストベンチの作成
波形エディタの機能を使って入力信号にテストベンチ波形を作成します。
■Quartus2シュミレータの実行
※実はmodelsimを使用しているようで、インストールした状態のままでは、modelsimが見つからないとの実行エラーが出ました。

Toolsメニュー内のOptionsを選択します。
上記の様にパスを書き換えます。
無事にファンクションシュミレーションが実行されました。
タイミングシュミレーション(ゲート遅延や配線遅延を含むシュミレーション)も実行されました。
今回のシュミレーションは、定義した入力信号波形によって、記述した回路がどの様に動作したかという出力波形を人間が見て、回路をデバッグする方法です。

しかし、実際の設計においては様々な要求仕様があります。

例えば、設計しているFPGAにCPUやメモリ等の他のデバイスが接続される場合は、そのデバイスとのインターフェイス仕様やタイミング仕様を満たしていなければNGです。
又、データを加工したり演算したりする回路においては、様々な組み合わせのデータが正しく仕様通りに加工、演算されているか?を検証しなければなりません。

入力信号だけでなく、出力信号に期待値(仕様通りの正しい出力)を定義してシュミレーションを行うことで、ミスマッチ箇所を見つけ出す事が可能です。

(続く)

コメント

このブログの人気の投稿

ARM用クロスコンパイラのビルド(Windows8+Cygwin)

Raspberry Pi のsleep時間測定

Quartus2 V13.1 ~エントリー編 HDL回路から回路図用シンボルを作成する~