Quartus2 V13.1 ~セットアップ編 新規プロジェクト作成~

 Altera社のFPGA開発用EDAツールQuartus2ですが、最新バージョン13.1に関する日本語記事が少ないので覚書

■Quartus2 WebEdition(無償)のインストール

対応OS一覧表(マイPCはWindows8.1の64bit版ですが正常に動作しています。)

ダウンロードページからQuatus2とModelsim-altera、使用予定のデバイスファイルをダウンロードします。(Quartus2のupdateがリリースされていたので「アップデート」タブからダウンロード)

お手軽な「一式ファイル」もありましたが、全デバイスファイルが含まれるので、HDDディスク容量が裕福な方にしかお勧めしません(笑)

私の場合、Quartus2をインストール→modelsimをインストール→Quartus2からデバイスファイル追加という手順で行いましたが、Quartus2、modelsim、デバイスファイルを同じフォルダ内にDL終了後に、Quartus2をインストールすると勝手に見つけてインストールしてくれるらしいです。

インストール作業の詳細は、インストーラを起動してメッセージに従うだけなので割愛

■デバイスファイルの追加
  ※以前はQuartus2内から追加できましたが、最新版では別アプリになっています。

  1. 使用予定のデバイスファイルをダウンロードしておきます。
  2. デバイスインストーラを起動し、あとは手順に従うだけです。


■新規プロジェクトの作成


プロジェクトを作成するパスとプロジェクト名を指定します
 ※この例では、eclipse上で記述したものをそのまま使用する為、同じフォルダ名、プロジェクト名としています。
HDLや回路図など既存の設計を流用する際にはここで指定する事で、プロジェクト内に追加できます。
ゼロからの設計の場合はそのままNext
対象とするFPGAデバイスを選択します
本例は対象デバイスを限定せず、MAX2シリーズであればどれでも可としています
シュミレータにmodelsim-alteraのVHDLのみ指定していますが、
Quartus2が対応しており所有しているEDAツールを設定します。
■アナライズ
プロジェクト内のソース(記述や回路図など)が、Quartus2のルールに適合しているか等のチェックを行います。
ソースが増えてきた時に毎回プロジェクト全体のチェックは効率的ではありませんので、今書いたHDLや回路図だけをチェックしたい場合には、Filesタブに切り替えてファイル単位でチェックを掛けることが可能です。
■コンパイル

HDLに対しては論理合成が行われ、回路図やマクロ等と一体化されての整合性チェックや、指定のFPGAで実現できるかなどのチェック、配置配線や端子アサイン、ゲート間のACタイミングチェックなどが行われます。 

本例はDFF1個に過ぎないのでルール違反が無い限りはコンプリートしますが、端子レイアウトの指定や入力信号のタイミング指定などを行っていないのでワーニングが沢山出ています。

エラーは出ていないので回路としてはMAX2に書き込めます。

しかし、入力信号のACタイミングや使用環境などによっては、ウンともスンとも動作しなっかたり、電圧や周囲温度によって誤作動する恐れがありますけど、大丈夫でっか?という様な設計者しか知らない条件によって結果が変わる内容はワーニングになります。

という事で、実設計においては詳細な設定をすればするほどツールが検証してくれて合否判定してくれますし、設定を少なく済ます場合はシュミレーションなどで徹底的に自分の目で検証する必要があります。

(シュミレーションへ続く)

コメント

このブログの人気の投稿

ARM用クロスコンパイラのビルド(Windows8+Cygwin)

Raspberry Pi のsleep時間測定

Quartus2 V13.1 ~エントリー編 HDL回路から回路図用シンボルを作成する~